博客
关于我
强烈建议你试试无所不能的chatGPT,快点击我
RTL基本知识:编译命令指定隐性线网类型
阅读量:4584 次
发布时间:2019-06-09

本文共 716 字,大约阅读时间需要 2 分钟。

在Verilog中,对于未指定线网类型的隐性线网默认的类型为wire,如果没有对线网进行上拉或者下拉操作,那么其状态为高阻态,即“Z”。对于特定设计在后端实现时会对相应的端口进行上下拉操作,为了保持这种特性,除了显示声明线网类型为tri0或者tri1等外,还可以通过编译命令实现端口上下拉。本文将对通过编译命令实现线网类型的设置进行示例。

1.`default_nettype

格式:`default_nettypenet_type

其中net_type可以是:wire、tri1、tri0、wand、triand、wor、trior、trireg、none等。

该命令用于隐性线网指定默认线网类型。特别是在端口定义中,如果没有显示指定线网类型,那么线网的类型为wire(默认值)或者`default_nettype指定的线网类型。

例如:

`default_nettype tri1

该例说明缺省的线网为三态上拉型。

在使用该命令时需要注意以下几点:

  • 该命令用于指定模块定义之外,即“module-endmodule”外;
  • 同时指定多条该命令,生效命令采取就近原则,即最后一条该命令将对后续的设计起作用;
  • 当`default_nettype指定的net_type为none,那么所有线网必须显示声明;
  • `resetalll命令后的隐性线网类型为wire,不受`default_nettype指定的影响,除非`resetall之后再次使用`default_nettype指定类型;

【示例】

 

 更多资讯,请关注个人公众号:芯光灿烂

 

转载于:https://www.cnblogs.com/xgcl-wei/p/9119024.html

你可能感兴趣的文章
openoffice+pdf2swf+FlexPaper在线显示office和pdf
查看>>
二十九、简谈设计模式
查看>>
js中数组的检测方法
查看>>
[译]GotW #6a: Const-Correctness, Part 1
查看>>
JAVA基础学习之 Map集合、集合框架工具类Collections,Arrays、可变参数、List和Set集合框架什么时候使用等(4)...
查看>>
用Python学分析 - 单因素方差分析
查看>>
2018个人年终总结
查看>>
[编辑排版]小技巧---markdown 转 richText
查看>>
JSON_UNESCAPED_UNICODE
查看>>
bug解决思路
查看>>
Oracle没有WM_CONCAT函数的解决办法
查看>>
消息中间件——RabbitMQ(四)命令行与管控台的基本操作!
查看>>
Eclipse 写代码是自动重启服务
查看>>
3.8 spring - AbstractBeanDefinition 介绍
查看>>
如何在Visual Studio里面查看程序的汇编代码?
查看>>
解决IE11只能用管理员身份运行的问题
查看>>
android学习-LocationManager(一)-
查看>>
Linux安装单机solr
查看>>
dos alias/cname address
查看>>
cygwin下用arm-xscale-linux-gnueabi交叉编译libcgi
查看>>